General Lab Information

Equipment Catalog

Advanced Optical Spectroscopy and Microscopy

Major Equipment

Minor Equipment

Advanced UV and X-ray Probes

Major Equipment

Electron Microscopy

Major Equipment

  • Analytical Bio/Soft Matter Transmission Electron Microscope (TEM)

    JEOL 1400 TEM:

    The JEOL JEM-1400 LaB6 120 kV transmission electron microscope is an easy-to-use, high contrast instrument with excellent imaging (TEM and STEM modes) and analytical (energy dispersive spectroscopy detector) capabilities. 

  • Environmental Transmission Electron Microscope (E-TEM)

    Titan 80-300:

    The E-TEM instrument (FEI Titan 80-300) is an 80 – 300 kV field-emission transmission electron microscope with an objective-lens aberration corrector at spatial resolution of 0.08 nm.  The instrument has scanning transmission imaging, magnetic imaging, and chemical analysis capabilities.

  • FEI TALOS Operando S/TEM

    FEI TALOS:

    The FEI Talos F200X is a high-resolution analytical scanning/transmission electron microscope (S/TEM) that is routinely operated at 200 keV. This microscope is equipped with an X-FEG electron source module that gives a source brightness four times that of a Schottky FEG emitter. 

  • Scanning Transmission Electron Microscope (STEM)

    Hitachi 2700C:

    This instrument is ideal for probing structural and electronic properties of materials at the Angstrom level, allowing on to study the physical, chemical and electronic structure of oxide interfaces, catalysts and other functional nanomaterials.  

Materials Synthesis and Characterization

Major Equipment

Minor Equipment

  • Automated Solvent Vapor Annealer (SVA)

    The solvent vapor annealer is an instrument design for controlled swelling of polymer and other soft matter thin films by solvent vapors (e.g., THF, acetone). Automated swelling profiles can be programmed based on feedback control of nitrogen purge gas or substrate temperature using customized software.
  • Battery Tester

    Battery Tester:

    This is advanced testing equipment for research and development of energy storage or electrochemical devices, such as batteries, supercapacitors, and fuel cells.

  • Biomolecular methods: cell culture, cold room, gel electrophoresis and DNA imaging

    Bio Methods:

    Cell culture, cold room, gel electrophoresis and DNA imaging.

     

  • Centrifuges, shakers, mixers, sonicators

    Centrifuges, shakers, mixers, sonicators:

    Available to provide fast separate substances and shaking or vortexing with tough metal housing at high speed, which is ideal for small volume liquid processing. 

  • Circular Dichroism Spectrometer

    Jasco J-815 Circular Dichroism (CD) Spectropolarimeter:

    Circular dichroism (CD) spectroscopy measures differences in the absorption of left-handed polarized light versus right-handed polarized light that arise due to structural asymmetry.

  • Differential Scanning Calorimeter (DSC)

    DSC:

    The Perkin-Elmer Pyris Diamond DSC is used to perform differential scanning calorimetry measurements under helium purging in the temperature range of -170 °C to 300 °C, or under nitrogen purging from room temperature to 550 °C.

  • Dynamic Light Scattering with Zeta Potential

    Malvern Zeta Sizer Nano Series:

    This instrument (Malvern Zetasizer Nano ZS and Zeta Potential) measures the size (0.6 nm to 6000 nm) and zeta potential of dispersed particles in solution using a 633 nm He-Ne laser. 

  • Electrochemical workstation

    Solartron Analytical Apps-XM series potentiostat.
  • Environmental Atomic Force Microscope, Video-Rate Capability

    Asylum Cypher AFM ES VRS:

    Atomic force microscope with environmental control and video-rate capabilities.

  • Epifluorescent and Polarized Imaging Microscope

    Olympus Polarized Microscope:

    Upright microscope for routine epifluorescent, polarized and bright field or dark field imaging. 

  • FTIR Spectrometer

    Nicolet 6700 FT-IR Spectrometer:

    Fourier Transform Infrared (FT-IR) Spectrometer.

  • Flow Coater

    CFN Manufactured Flow Coater:

    The flow coater is a compact apparatus for casting uniform films of nanoparticles, molecules, or polymers from solution with user-designed film thickness increments or gradients.  

  • Hybrid Rheometer

    Discovery HR-3 Rheometer:

    The instrument is designed to measure mechanical/rheological properties of soft materials, including gels, liquids, and assemblies of macromolecules or nanoparticles at air-liquid interfaces. 

  • LightCycler 480 instrument

    Real-Time PCR:

    The LightCycler® 480 system enables you to perform real-time, online PCR combined with rapid cycling of up to 96 or 384 samples. 

  • Particle Sizing and Tracking

    Nanosight:

    The instrument provides characterization of nanoparticle sizes and diffusion in liquids. 

  • Photon Counting Spectrofluorometer

    ISS PC1 Fluorometer:

    This fluorometer (ISS PC1 Multifrequency Cross-Correlation Phase and Modulation Fluorometer) is capable of steady state and lifetime measurements (from 1 millisecond to 1 picosecond). 

  • Reactive Ion Etcher (RIE)

    March RIE:

    This tool (March Plasma CS1701F) is used for radio frequency (RF) plasma etching of organic and inorganic thin films using combinations of six process gases (SF6, CF4, CHF3, O2, Ar, and CF3Br). 

  • Spectroscopic Ellipsometer

    Woollam M2000:

    This ellipsometer (J.A. Woollam M-2000 Spectroscopic Ellipsometer) allows analysis and mapping of thin films and interfaces, with temperature control in air and liquids.  

  • Spin Coater

    Laurell WS-650-23 Spin Coater:

    This tool is used for forming organic thin films from solution. System includes a spin coater with variable spin speed/acceleration (between ~500-6000 RPM). It is located in the 6 foot wide hood (1L-10-1) (with HEPA filtration on the hood exhaust), suitable for nanomaterial processing.

  • Sputter/Coater

    Cressington 208HR Coater:

    This benchtop DC sputter coater deposits thin noble metal films (Au, AuPd, or Pt) by DC sputtering. It is typically used for preparing samples for scanning electron microscopy. 

  • Thermo Gravimetric/Differential Thermal Analyzer (TGA/DTA)

    TGA/DTA:

    The Perkin-Elmer Pyris Diamond TGA/DTA is used to perform thermogravimetric and differential thermal analytic measurements.

  • Uv-Vis Spectrophotometer

    PerkinElmer UV-Vis Lambda 25:

    UV/Vis Spectrophotometer

  • Uv-Vis/NIR Spectrophotometer with 60mm integrating sphere

    PerkinElmer Lambda 950:

    In addition to liquid sample measurements, the instrument is equipped for studies of films and powders in specular and diffuse scattering regimes.  

  • Wet chemistry synthesis/functionalization of nano-scale and organic materials

    Wet Chemistry:

    Capabilities required for the synthesis, fabrication and study of novel hybrid structures and functionalities.

     

Nanofabrication

Major Equipment

  • 3-D Laser Lithography System (Photonic Professional GT)

    3-D Litho:

    This tool (Nanoscribe Photonic Professional GT) is an automatic 3-D nanolithography system/3-D printer capable of creating polymer patterns/models down to sub-200 nm resolution using two-photon polymerization technique.

  • Deep Reactive Ion Etcher, available w/Bosch process (DRIE)

    Silicon DRIE:

    This system has demonstrated capability to etch vertical walls in silicon to a depth of 300 µm at etch rates of 3 µm / min with an aspect ratio (width of feature to etch depth) of 1:50. It has a laser interferometer endpoint detector (shared with the other Oxford ICP RIE tool) to help achieving an accurate etching depth. 

  • Dual Beam Scanning Electron/Focused Ion Beam Microscope

    Dual Beam:

    Dual Beam Scanning Electron/Focused Ion Beam Microscope (FEI Helios), capable of simultaneous focused ion beam milling and SEM imaging.

  • Electron Beam Deposition System

    Ebeam Deposit:

    Three separate physical vapor deposition systems (all Kurt J. Lesker PVD75) are available for thin-film sputter, thermal, and electron-beam deposition of a wide variety of materials. 

  • Electron Beam Induced Current (EBIC) Imaging-Helios G5

    Electron Beam Induced Current (EBIC) Imaging:

    EBIC is an analysis technique in the SEM, which creates images using the electrical response of the sample as a function of the scanning position of the electron beam. 
  • Electron Beam Lithography Tool (EBL)

    Ebeam Litho:

    The JEOL JBX-6300FS is a state-of-the-art 100kV EBL tool providing high-speed patterning capabilities with feature sizes as small as 8 nm over mm-scale areas. 

  • General purpose Reactive Ion Etcher

    Trion RIE:

    The general purpose plasma etch tool (Trion Phantom III) is used for etching thin films generally 1 µm or less in thickness. 

  • Helios G5 Dual Beam SEM/FIB Microscope

    Helios G5 Dual Beam SEM/FIB Microscope:

    Excellent electron and FIB imaging resolution (including at FIB energies below 2 keV). It possesses an array of imaging, analysis, device fabrication, electrical characterization, and TEM sample preparation capabilities.

  • In-Situ Electrical Characterization-Helios G5

    The Helios G5 has two ways to perform in-situ electrical characterization. One is to use the 7-pin SMA electrical feedthrough, which requires fixed wiring of the electrodes to the sample or device. The other is to perform two-terminal electrical probing (no need for fixed wiring) using two Kleindiek Nanotechnik robotic manipulators (fA-range current measurement resolution and nanometer scale positioning resolution). 
  • Oxford Chlorine Etcher C (RIE-C)

    Oxford Metal Etcher:

    This ICP Reactive Ion Etching system (Oxford Instruments Plasmalab 100) has an inductively coupled plasma source for high-speed, anisotropic plasma etching. 

  • Plasma Enhanced Chemical Vapor Deposition (PECVD) System

    Trion PECVD:

    This deposition system (Trion Orion III) provides plasma-enhanced chemical vapor deposition of thin films. Current capabilities include precursor gases for deposition of SiO2, Si3N4, and amorphous silicon films. 

  • UV Mask Aligner

    UV Mask Align:

    The system (Karl Suss MA-6 UV Mask Aligner) is capable of exposing photoresist patterns down to 1 micron resolution with UV light at two wavelengths (405 nm and 240m nm).

Minor Equipment

Proximal Probes

Major Equipment

  • Ambient Pressure PES with in vacuo IRRAS

    This instrument allows for surface chemical analysis via X-ray Photoelectron Spectroscopy (XPS) and Infrared Reflection Absorption Spectroscopy (IRRAS) at gas pressures up to ~ 2 mbar and variable temperatures.  Applications include in situ studies of surface chemistry, catalysis, separation, and energy storage processes, among others.

  • Low Energy Electron Microscope V (LEEM)

    LEEM V:

    A field-emission low-energy electron microscope (Elmitec LEEM V) allows for in-situ microscopic studies of dynamic surface processes.

  • Low-Temperature UHV Scanning Tunneling/Force Microscope

    Createc LT-STM/AFM:

    A upgraded Createc low-temperature UHV scanning tunneling microscope (STM) with atomic force microscope (NC-AFM/HR-AFM operation modes. Default operation at 5K STM and sample temperature. Using Q-Plus sensor (30kHz) with FIB shaped 25um PtIr tip wire. Full time support by CFN staff knowledgeable in various preparation methods for molecule structure imaging and beyond.

  • NanoIR/s-SNOM

    NanoIR/s-SNOM:

    The NanoIR instrument is capable of performing both scattering-type scanning near-field optical microscopy (s-SNOM) and photothermal infrared spectromicroscopy (PTIR) with a spatial resolution of 10nm in tapping mode and 50nm in contact mode. 

  • Reactor Scanning Tunneling Microscope (STM)

    Reactor STM:

    The reactor-Scanning Tunneling Microscopy (r-STM) allows STM measurements of a surface from UHV to 3 atm.

  • Scanning Electron Microscope/Scanning Auger Microscopy/4-point Transport Measurement of Nanostructures

    Nanoprobe:

    This Nanoprobe system is capable of performing scanning electron microscopy (SEM), scanning Auger microscopy (nanoSAM), 4-point probe measurements, and low energy electron diffraction (LEED).

  • UHV Multiprobe Surface Analysis System - STM/XPS/UPS/ISS/LEED/TPD


    Multiprobe

    UHV-MultiProbe Surface Analysis System (STM\XPS\UPS\ISS\LEED\TPD) is capable of in-situ clean sample preparations, nanostructure fabrications, and complementary surface characterizations including atomic-resolution surface structures, chemical compositions/states, relative atomic concentrations, and physical and chemical properties, aimed at exploring structure-properties relationships.

  • mIRage O-PTIR + Raman

    The mIRage optical-photothermal infrared (O-PTIR) plus Raman instrument provides sub-500nm spatially resolved infrared and Raman spectra on the same spot at the same time with the same spatial resolution.

Minor Equipment

Theory and Computation

Major Equipment

  • Software and Computational Services

    Computer clusters, software and data storage:

    We have diverse software tools that include deep learning frameworks, density functional theory packages for solids, surface and quantum chemistry, molecular-dynamics packages, and specialized tools for electronic excitations and optical properties. 

Advanced Spectro-Microscopy

Major Equipment

Deposition (Evaporators/Sputterers/Pecvd/Coaters)

Major Equipment

  • AJA Evaporator

    AJA Orion:

    The tool is a compact magnetron sputtering system designed for the sputtering deposition of metal and dielectric thin films. It is equipped with four RF sputtering sources and supports co-deposition of two materials at the same time.

  • Atomic Layer Deposition Tool (ALD) Savannah S100

    Atomic Layer Deposition Tool:

    The tool (Cambridge Nanotech Savannah100) includes precursors for atomically precise growth of thin films of Al2O3, ZnO, TiO2, VOx, HfO2, and Pt, at growth temperatures as high as 300 °C. 

  • Electron Beam Deposition System

    Ebeam Deposit:

    Three separate physical vapor deposition systems (all Kurt J. Lesker PVD75) are available for thin-film sputter, thermal, and electron-beam deposition of a wide variety of materials. 

  • Electrospray Deposition

    Electrospray Deposition (ESD) System:

    This first-of-its kind instrument deposits soft and hybrid material thin films with programmable composition and/or thickness profiles by electrospraying from solution.  Up to three separate solutions can be sprayed simultaneously onto heated substrates to generate binary and ternary composition profiles.

  • Fiji Plasma Enhanced Atomic Layer Deposition System

    Veeco Fiji F200:

    The PEALD tool is capable to depositing uniform, conformal thin films of various metallic, metal oxide, and metal nitride. It supports both conventional thermal ALD and plasma enhanced ALD. It is equipped with a quartz crystal microbalance for in-situ monitoring of thin film growth rate and accumulated thickness and a mesh pocket holder for deposition on powdered samples.

  • Physical Vapor Sputter/Thermal Evaporator

    PVD:

    This thin film deposition system (Kurt J. Lesker PVD75) is used for the synthesis of inorganic thin films by thermal evaporation and DC magnetron sputtering.

  • Plasma Enhanced Chemical Vapor Deposition (PECVD) System

    Trion PECVD:

    This deposition system (Trion Orion III) provides plasma-enhanced chemical vapor deposition of thin films. Current capabilities include precursor gases for deposition of SiO2, Si3N4, and amorphous silicon films. 

  • Pulsed Laser Deposition System PLD/MBE 2300

    PLD 2300:

    The pulsed laser deposition system (PVD Products PLD-MBE 2300) is used for synthesis of complex metal oxides or nitride thin-films by laser ablation. 

  • Thermal Atomic Layer Deposition (ALD)

    Thermal atomic layer deposition (ALD):

    System for ultrathin, conformal coatings of high-quality dielectric materials and electroactive metal oxides

Minor Equipment

  • Flow Coater

    CFN Manufactured Flow Coater:

    The flow coater is a compact apparatus for casting uniform films of nanoparticles, molecules, or polymers from solution with user-designed film thickness increments or gradients.  

  • Spin Coater

    Laurell WS-650-23 Spin Coater:

    This tool is used for forming organic thin films from solution. System includes a spin coater with variable spin speed/acceleration (between ~500-6000 RPM). It is located in the 6 foot wide hood (1L-10-1) (with HEPA filtration on the hood exhaust), suitable for nanomaterial processing.

  • Sputter Coater

    PVD75 Coater:

    Three separate physical vapor deposition systems (all Kurt J. Lesker PVD75) are available for thin-film sputter, thermal, and electron-beam deposition of a wide variety of materials.

  • Sputter/Coater

    Cressington 208HR Coater:

    This benchtop DC sputter coater deposits thin noble metal films (Au, AuPd, or Pt) by DC sputtering. It is typically used for preparing samples for scanning electron microscopy. 

  • Thermal/E-beam evaporator for electrical contacts

    Contacts Evaporator:

    Three separate physical vapor deposition systems (all Kurt J. Lesker PVD75) are available for thin-film sputter, thermal, and electron-beam deposition of a wide variety of materials. 

Electrical Probing

Major Equipment

Minor Equipment

Electron Microscopy

Major Equipment

  • Analytical Bio/Soft Matter Transmission Electron Microscope (TEM)

    JEOL 1400 TEM:

    The JEOL JEM-1400 LaB6 120 kV transmission electron microscope is an easy-to-use, high contrast instrument with excellent imaging (TEM and STEM modes) and analytical (energy dispersive spectroscopy detector) capabilities. 

  • Dual Beam Scanning Electron/Focused Ion Beam Microscope

    Dual Beam:

    Dual Beam Scanning Electron/Focused Ion Beam Microscope (FEI Helios), capable of simultaneous focused ion beam milling and SEM imaging.

  • Electron Beam Induced Current (EBIC) Imaging-Helios G5

    Electron Beam Induced Current (EBIC) Imaging:

    EBIC is an analysis technique in the SEM, which creates images using the electrical response of the sample as a function of the scanning position of the electron beam. 
  • Environmental Transmission Electron Microscope (E-TEM)

    Titan 80-300:

    The E-TEM instrument (FEI Titan 80-300) is an 80 – 300 kV field-emission transmission electron microscope with an objective-lens aberration corrector at spatial resolution of 0.08 nm.  The instrument has scanning transmission imaging, magnetic imaging, and chemical analysis capabilities.

  • FEI TALOS Operando S/TEM

    FEI TALOS:

    The FEI Talos F200X is a high-resolution analytical scanning/transmission electron microscope (S/TEM) that is routinely operated at 200 keV. This microscope is equipped with an X-FEG electron source module that gives a source brightness four times that of a Schottky FEG emitter. 

  • Helios G5 Dual Beam SEM/FIB Microscope

    Helios G5 Dual Beam SEM/FIB Microscope:

    Excellent electron and FIB imaging resolution (including at FIB energies below 2 keV). It possesses an array of imaging, analysis, device fabrication, electrical characterization, and TEM sample preparation capabilities.

  • High Resolution Analytical Scanning Electron Microscope

    JEOL JSM-7600F:

    The JEOL JSM-7600F is a state-of-the-art thermal FE-SEM combining high resolution imaging with optimized analytical functionality. 

  • Scanning Electron Microscope (SEM)

    Hitachi SEM:

    This scanning electron microscope (Hitachi 4800 SEM) is a cold field emission instrument capable of 1.5 nm resolution at 15 kV and 2.5 nm resolution at 1 kV. 

  • Scanning Electron Microscope/Scanning Auger Microscopy/4-point Transport Measurement of Nanostructures

    Nanoprobe:

    This Nanoprobe system is capable of performing scanning electron microscopy (SEM), scanning Auger microscopy (nanoSAM), 4-point probe measurements, and low energy electron diffraction (LEED).

  • Scanning Transmission Electron Microscope (STEM)

    Hitachi 2700C:

    This instrument is ideal for probing structural and electronic properties of materials at the Angstrom level, allowing on to study the physical, chemical and electronic structure of oxide interfaces, catalysts and other functional nanomaterials.  

Etching and Ashing

Major Equipment

  • Deep Reactive Ion Etcher, available w/Bosch process (DRIE)

    Silicon DRIE:

    This system has demonstrated capability to etch vertical walls in silicon to a depth of 300 µm at etch rates of 3 µm / min with an aspect ratio (width of feature to etch depth) of 1:50. It has a laser interferometer endpoint detector (shared with the other Oxford ICP RIE tool) to help achieving an accurate etching depth. 

  • General purpose Reactive Ion Etcher

    Trion RIE:

    The general purpose plasma etch tool (Trion Phantom III) is used for etching thin films generally 1 µm or less in thickness. 

  • Oxford Chlorine Etcher C (RIE-C)

    Oxford Metal Etcher:

    This ICP Reactive Ion Etching system (Oxford Instruments Plasmalab 100) has an inductively coupled plasma source for high-speed, anisotropic plasma etching. 

Minor Equipment

Lithography

Major Equipment

  • 3-D Laser Lithography System (Photonic Professional GT)

    3-D Litho:

    This tool (Nanoscribe Photonic Professional GT) is an automatic 3-D nanolithography system/3-D printer capable of creating polymer patterns/models down to sub-200 nm resolution using two-photon polymerization technique.

  • Dual Beam Scanning Electron/Focused Ion Beam Microscope

    Dual Beam:

    Dual Beam Scanning Electron/Focused Ion Beam Microscope (FEI Helios), capable of simultaneous focused ion beam milling and SEM imaging.

  • Electron Beam Lithography Tool (EBL)

    Ebeam Litho:

    The JEOL JBX-6300FS is a state-of-the-art 100kV EBL tool providing high-speed patterning capabilities with feature sizes as small as 8 nm over mm-scale areas. 

  • Helios G5 Dual Beam SEM/FIB Microscope

    Helios G5 Dual Beam SEM/FIB Microscope:

    Excellent electron and FIB imaging resolution (including at FIB energies below 2 keV). It possesses an array of imaging, analysis, device fabrication, electrical characterization, and TEM sample preparation capabilities.

  • UV Mask Aligner

    UV Mask Align:

    The system (Karl Suss MA-6 UV Mask Aligner) is capable of exposing photoresist patterns down to 1 micron resolution with UV light at two wavelengths (405 nm and 240m nm).

Optical Microscopy

Major Equipment

Minor Equipment

Proximal Probes

Major Equipment

  • Ambient Pressure PES with in vacuo IRRAS

    This instrument allows for surface chemical analysis via X-ray Photoelectron Spectroscopy (XPS) and Infrared Reflection Absorption Spectroscopy (IRRAS) at gas pressures up to ~ 2 mbar and variable temperatures.  Applications include in situ studies of surface chemistry, catalysis, separation, and energy storage processes, among others.

  • NanoIR/s-SNOM

    NanoIR/s-SNOM:

    The NanoIR instrument is capable of performing both scattering-type scanning near-field optical microscopy (s-SNOM) and photothermal infrared spectromicroscopy (PTIR) with a spatial resolution of 10nm in tapping mode and 50nm in contact mode. 

  • UHV Multiprobe Surface Analysis System - STM/XPS/UPS/ISS/LEED/TPD


    Multiprobe

    UHV-MultiProbe Surface Analysis System (STM\XPS\UPS\ISS\LEED\TPD) is capable of in-situ clean sample preparations, nanostructure fabrications, and complementary surface characterizations including atomic-resolution surface structures, chemical compositions/states, relative atomic concentrations, and physical and chemical properties, aimed at exploring structure-properties relationships.

  • mIRage O-PTIR + Raman

    The mIRage optical-photothermal infrared (O-PTIR) plus Raman instrument provides sub-500nm spatially resolved infrared and Raman spectra on the same spot at the same time with the same spatial resolution.

Minor Equipment

Rheology

Minor Equipment

  • Hybrid Rheometer

    Discovery HR-3 Rheometer:

    The instrument is designed to measure mechanical/rheological properties of soft materials, including gels, liquids, and assemblies of macromolecules or nanoparticles at air-liquid interfaces. 

Scanning and Probing Microscopy

Major Equipment

  • Low Energy Electron Microscope V (LEEM)

    LEEM V:

    A field-emission low-energy electron microscope (Elmitec LEEM V) allows for in-situ microscopic studies of dynamic surface processes.

  • Low-Temperature UHV Scanning Tunneling/Force Microscope

    Createc LT-STM/AFM:

    A upgraded Createc low-temperature UHV scanning tunneling microscope (STM) with atomic force microscope (NC-AFM/HR-AFM operation modes. Default operation at 5K STM and sample temperature. Using Q-Plus sensor (30kHz) with FIB shaped 25um PtIr tip wire. Full time support by CFN staff knowledgeable in various preparation methods for molecule structure imaging and beyond.

  • Reactor Scanning Tunneling Microscope (STM)

    Reactor STM:

    The reactor-Scanning Tunneling Microscopy (r-STM) allows STM measurements of a surface from UHV to 3 atm.

Minor Equipment

Solution Based and Biomolecular Methods

Minor Equipment

Spectroscopic and Time-Resolved Probing

Major Equipment

  • Femtosecond Transient Absorption Spectrometer

    Transient Absorption/Reflectivity Helios Fire:

    Helios Fire commercial pump-probe broadband absorption/reflectivity spectrometer capable to measure transient absorption spectra with 100 femtoseconds resolution in UV-VIS-NIR (320nm-1600nm) using a 1 KHz (3mJ) regenerative amplifier and two TOPAS OPAs (290-2600nm).

     

  • Nanosecond Transient Absorption Spectrometer

    Transient Absorption/Reflectivity EOS:

    Commercial EOS pump-probe broadband transient absorption/reflectivity spectrometer capable to measure time-resolved spectra with nanosecond resolution in VIS and NIR in combination with a 1 KHz regenerative amplifier, two TOPAS OPAs (290nm-2600nm) and a supercontinuum Leukos laser for probing. 

Minor Equipment

  • Circular Dichroism Spectrometer

    Jasco J-815 Circular Dichroism (CD) Spectropolarimeter:

    Circular dichroism (CD) spectroscopy measures differences in the absorption of left-handed polarized light versus right-handed polarized light that arise due to structural asymmetry.

  • FTIR Spectrometer

    Nicolet 6700 FT-IR Spectrometer:

    Fourier Transform Infrared (FT-IR) Spectrometer.

  • Fluorimeter

    Horiba Nanolog Fluorimeter:

    Commercial Horiba Nanolog Fluorimeter: cuvette and thin film, cryostat (LN2) for thin film samples. 

  • Photon Counting Spectrofluorometer

    ISS PC1 Fluorometer:

    This fluorometer (ISS PC1 Multifrequency Cross-Correlation Phase and Modulation Fluorometer) is capable of steady state and lifetime measurements (from 1 millisecond to 1 picosecond). 

  • Time Correlated Single Photon Counting Spectrometer

    Picoquant FT200:

    Commercial Fluorescence/Phosphorescence Lifetime Spectrometer for time-resolved fluorescence decays in the UV-VIS-NIR (460-800nm, 850-1450nm). available lasers are 442nm and 532nm pulsed. 

  • Uv-Vis Spectrophotometer

    PerkinElmer UV-Vis Lambda 25:

    UV/Vis Spectrophotometer

  • Uv-Vis/NIR Spectrophotometer with 60mm integrating sphere

    PerkinElmer Lambda 950:

    In addition to liquid sample measurements, the instrument is equipped for studies of films and powders in specular and diffuse scattering regimes.  

Theory Computation & Software

Major Equipment

  • Software and Computational Services

    Computer clusters, software and data storage:

    We have diverse software tools that include deep learning frameworks, density functional theory packages for solids, surface and quantum chemistry, molecular-dynamics packages, and specialized tools for electronic excitations and optical properties. 

Thermal Analysis, Annealing and Electrochemistry

Minor Equipment

Thin Film Metrology

Major Equipment

  • SmartLab Universal Diffractometer

    SmartLab Universal Diffractometer:

    Rigaku SmartLab is a universal diffractometer capable of characterizing thin films, bulk powders, and surfaces.

Minor Equipment

  • Dektak 150 Stylus Profilometer

    Dektak 150 Stylus Profilometer:

    Single scan contact profilometer with a scan length range 50 um to 55 mm and a sub 1 nm specified vertical resolution.

  • Reflectometer

    Filmetric F20:

    This tool (Filmetrics F20) is a spectro-reflectometer which measures the thickness and reflective index of thin film deposited on flat substrate. It can handle samples with multilayer thin film.

  • Spectroscopic Ellipsometer

    Woollam M2000:

    This ellipsometer (J.A. Woollam M-2000 Spectroscopic Ellipsometer) allows analysis and mapping of thin films and interfaces, with temperature control in air and liquids.  

X-Ray and Light Scattering

Major Equipment

Minor Equipment

  • Dynamic Light Scattering with Zeta Potential

    Malvern Zeta Sizer Nano Series:

    This instrument (Malvern Zetasizer Nano ZS and Zeta Potential) measures the size (0.6 nm to 6000 nm) and zeta potential of dispersed particles in solution using a 633 nm He-Ne laser. 

3D Surface Metrology in SEM

Major Equipment

Focused Ion Beam

Major Equipment

Low Angle Backscattered Electron Detector (LABE)

Major Equipment

STEM imaging

Major Equipment

X-ray Energy Dispersive Spectroscopy (EDS)-Oxford Inca Energy

Major Equipment

X-ray Wavelength Dispersive Spectroscopy (WDS)-Oxford Inca Wave

Major Equipment

ion-beam/electron-beam induced deposition of TEOS (insulator)

Major Equipment

ion-beam/electron-beam induced deposition of platinum

Major Equipment

low-kV e-beam lithography with NPGS

Major Equipment